2 use IEEE.STD_LOGIC_1164.ALL;
3 use IEEE.STD_LOGIC_ARITH.ALL;
4 use IEEE.STD_LOGIC_UNSIGNED.ALL;
7 Port ( switch : in STD_LOGIC_VECTOR (7 downto 0);
8 -- button : in STD_LOGIC;
10 usb_full : in STD_LOGIC;
11 usb_data : out STD_LOGIC_VECTOR (7 downto 0);
12 usb_fifo_addr : out STD_LOGIC_VECTOR (1 downto 0);
13 usb_write : out STD_LOGIC;
14 usb_pktend : out STD_LOGIC;
15 usb_cs : out STD_LOGIC;
16 led : out std_logic_vector(7 downto 0));
19 architecture Behavioral of s2usb is
21 signal prescaler : std_logic_vector(2 downto 0) := "000";
22 signal state : std_logic := '1';
26 usb_send : process(clock)
29 if(clock'event) and (clock='1') then
30 prescaler <= prescaler + 1;
31 if(prescaler="000") then
45 usb_fifo_addr <= "10"; -- ep6