added post proc stuff
[physik/posic.git] / moldyn.h
index fc9bce7..484c456 100644 (file)
--- a/moldyn.h
+++ b/moldyn.h
@@ -139,9 +139,22 @@ typedef struct s_moldyn {
        double tau_square;      /* delta t squared */
        int total_steps;        /* total steps */
 
+       /* energy */
        double energy;          /* potential energy */
        double ekin;            /* kinetic energy */
 
+       /* energy averages & fluctuations */
+       double k_m;
+       double p_m;
+       double t_m;
+       double dk2_m;           /* mean square kinetic energy fluctuations */
+       double dp2_m;           /* mean square potential energy fluctuations */
+       double dt2_m;           /* mean square fluctuations in total energy */
+       
+       /* response functions */
+       double c_v_nve;         /* constant volume heat capacity (nve) */
+       double c_v_nvt;         /* constant volume heat capacity (nvt) */
+
        char vlsdir[128];       /* visualization/log/save directory */
        t_visual vis;           /* visualization interface structure */
        u8 vlsprop;             /* log/vis/save properties */
@@ -209,7 +222,9 @@ typedef struct s_moldyn {
 #define PASCAL (NEWTON/(METER*METER))                  /* N / A^2 */
 #define BAR    ((1.0e5*PASCAL))                        /* N / A^2 */
 #define K_BOLTZMANN    (1.380650524e-23*METER*NEWTON)  /* NA/K */
+#define K_B2           (K_BOLTZMANN*K_BOLTZMANN)       /* (NA)^2/K^2 */
 #define EV             (1.6021765314e-19*METER*NEWTON) /* NA */
+#define JOULE          (NEWTON*METER)                  /* NA */
 
 #define MOLDYN_TEMP                    273.0
 #define MOLDYN_TAU                     1.0
@@ -427,7 +442,8 @@ int check_per_bound(t_moldyn *moldyn,t_3dvec *a);
 
 int moldyn_bc_check(t_moldyn *moldyn);
 
-int read_line(int fd,char *line);
-int calc_fluctuations(double start,double end,char *file);
+int get_line(int fd,char *line,int max);
+int calc_fluctuations(double start,double end,t_moldyn *moldyn);
+int get_heat_capacity(t_moldyn *moldyn);
 
 #endif